set_property SRC_FILE_INFO {cfile:/home/hakta/Documents/FPGA/vga_sprite/vga_sprite.srcs/constrs_1/new/vga_sprite.xdc rfile:../../../vga_sprite.srcs/constrs_1/new/vga_sprite.xdc id:1} [current_design] set_property src_info {type:XDC file:1 line:2 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN Y21 [get_ports {video[0]}]; # "VGA-B1" set_property src_info {type:XDC file:1 line:3 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN Y20 [get_ports {video[1]}]; # "VGA-B2" set_property src_info {type:XDC file:1 line:4 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN AB20 [get_ports {video[2]}]; # "VGA-B3" set_property src_info {type:XDC file:1 line:5 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN AB19 [get_ports {video[3]}]; # "VGA-B4" set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN AB22 [get_ports {video[4]}]; # "VGA-G1" set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN AA22 [get_ports {video[5]}]; # "VGA-G2" set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN AB21 [get_ports {video[6]}]; # "VGA-G3" set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN AA21 [get_ports {video[7]}]; # "VGA-G4" set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN V20 [get_ports {video[8]}]; # "VGA-R1" set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN U20 [get_ports {video[9]}]; # "VGA-R2" set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN V19 [get_ports {video[10]}]; # "VGA-R3" set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN V18 [get_ports {video[11]}]; # "VGA-R4" set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN AA19 [get_ports {Hsync}]; # "VGA-HS" set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN Y19 [get_ports {Vsync}]; # "VGA-VS" set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN Y9 [get_ports {clk_100MHz}]; # "GCLK" set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]; set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 34]]; set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 35]]; set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]];