set_property SRC_FILE_INFO {cfile:/home/hakta/myand2/myand2.srcs/constrs_1/new/myand2.xdc rfile:../../../myand2.srcs/constrs_1/new/myand2.xdc id:1} [current_design] set_property src_info {type:XDC file:1 line:1 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN F22 [get_ports {a}]; # "SW0" set_property src_info {type:XDC file:1 line:2 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN G22 [get_ports {b}]; # "SW1" set_property src_info {type:XDC file:1 line:4 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN T22 [get_ports {c}]; # "LD0" set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]; set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 34]]; set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 35]]; set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]];