set_property SRC_FILE_INFO {cfile:/home/hakta/Documents/clock_counter/clock_counter.srcs/constrs_1/new/clock_counter.xdc rfile:../../../clock_counter.srcs/constrs_1/new/clock_counter.xdc id:1} [current_design] set_property src_info {type:XDC file:1 line:4 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN T22 [get_ports {cnt[0]}]; # "LD0" set_property src_info {type:XDC file:1 line:5 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN T21 [get_ports {cnt[1]}]; # "LD1" set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN U22 [get_ports {cnt[2]}]; # "LD2" set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN U21 [get_ports {cnt[3]}]; # "LD3" set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN V22 [get_ports {cnt[4]}]; # "LD4" set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN W22 [get_ports {cnt[5]}]; # "LD5" set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN U19 [get_ports {cnt[6]}]; # "LD6" set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN U14 [get_ports {cnt[7]}]; # "LD7" set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] set_property PACKAGE_PIN Y9 [get_ports {clk}]; # "GCLK" set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]]; set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 34]]; set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 35]]; set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]];